11/10/2010

lagi sikit nak menang..

assalamualaikum.. 

selamat pengantin baru buat sedara aku, angkasawan negara yang telah melangsungkan perkahwinannye pada hari ni.. eh tetbe ngaku sedara ni pehal? haha..

hurm.. cerita laen pulak.. semalam telah berlangsung satu perlawanan futsal yang mne aku and da geng pun turut serta.. sesaje masuk nak cuba try test power la kan.. kata atlit futsal, nak jugak tahu sejauh mne ke'terer'an ktrg.. ape lagi, pertandingan jela yang dapat mengujinye.. macam exam jugak.. kalo tade exam, cane korang nak tahu korang bijak ke tak? tak kesah r samada bijak meniru, bijak menghafal, bijak menjawab soklan ataupun bijak bodek lecturer.. =P

berikut adalah ulasan sepanjang perlawanan ;
pada perlawanan peringkat kumpulan, nasib ktrg baek kerana ktrg berjaya memperoleh naib juara.. 3 perlawanan, 2 menang dan 1 kalah.. kira terer la kan? pastu peringkat kalah mati, ktrg jugak berjaya mengalahkan lawan dan seterusnya melayakkan diri untuk ke suku akhir..

game suku akhir lebih mencabar apabila pihak lawan terlebih dahulu menjaringkan gol kerana sedikit kelalaian di barisan pertahanan.. aku la tu =D keadaan ini cukup untuk memberikan tekanan kapada ktrg namun semangat kental ktrg bukan mudah luntur ko tahu? berjuang mesti sampai ke akhirnya.. nabi pon da ajar supaya jangan mudah putus asa dalam melakukan setiap pekerjaan.. tak gitu? ktrg bangkit menyamakan kedudukan dengan jaringan izwan rahim setelah bola di'asist' oleh hafiz che hamid..

 kemudian, pelbagai serangan dilancarkan untuk mencari gol agar team ktrg dapat terus ke separuh akhir.. tapi malangnya, semua serangan dapat dipatahkan oleh keeper pihak lawan yang nyata amat berbakat disamping tubuh badan yang agak besar.. haha..

perlawanan ktrg dilanjutkan hingga ke sepakan penalti.. 3 pemain diperlukan.. amat malang sekali apabila sepakan pertama dan kedua tidak dapat disempurnakan oleh pemain ktrg.. sepakan pertama dari izwan rahim tepat menuju ke arah penjaga gawang manakala sepakan kedua dari hakimi adenan tersasar jauh melepasi palang gol.. maka dengan ini, secara rasminya team ktrg tersungkur dengan keputusan penalti 0-2.. sila boo ramai2.. kahkahkah !

sekian ulasan..

korang tgk la gambar2 masa perlawanan berlangsung.. malas nak cakap panjang2 ag.. mood frust sebab kalah belom hilang sepenuhnya lagi.. HAHAHA.. babai ~


phewwit ! no 13 tu.. mmuahh !! haha

halamak ! no 13 lagi..

ish ! no 13 maen elok2.. risau ai !~

sepakan percuma dari no 16



aku ada dengar orang cakap peluh jantan ni macho.. nilai sendiri ah macho ke tak.. haha
si baju merah belom sempat buat kilang peluh..


ei.. ceni ke macho? geli ai nyah !! haha



ni pon sama..



banner



renungan tajam pengurus ESSO FC..

fesyen dari mne ntah..



benteng kne kuat !



last bek tu wei.. tak cukup nafas tuu.. haha



hakimi adenan cuba merampas bola

keeper ready nak terbang, bek pulak kecut perut.. haha

penganjur

predator kuning


muka kalah.. haha


kalah pon bole senyum jugak la der.. tuff kan? kehkehkeh !

Thank you for reading this entry !

27 comments:

Anonymous said...

pnda mg maen futsal din???
xdop "baju sponsor" dh ko???hahahhaha..

izuddinrahim said...

anonymous.
panda la huduh2..
ado sgt la.. mai amik dumoh nie..
ahaha..

Anonymous said...

buke la..
xdop ore sponsor baju ko mg dh ko????hahahahhaha..

mujo mg ado bju sdiri deh..
klo dop gk..mst mg xse amik gmba..hahahah*gelak guling2*

izuddinrahim said...

anonymous.
baju sponsor ado tulisey MTAQ..
ugoh gilow nop paka.. haha

Anonymous said...

huh!!agah weyhh...
benanyo..tibo2 ak tringat ko gmba hk mg maen futsal/bola kt mesir tuh..comel gilow mg tyme tuh...hahahah..
supo bdop tdika...

izuddinrahim said...

anonymous.
gak aku memey comel sopmo pon..
lagi comel dari budop tadika eh..
wahaha~ =P

Anonymous said...

hak3...hurmmm..
xdop apo la de tubik woh koya dio gk..
*misi xbrjaye*..huh!!

Hizami Li said...

sikit sikit kalah.
erk.
XD

izuddinrahim said...

anonymous.
hahahaha =P

izuddinrahim said...

hizami.
da tade nasib la zami..
nak wat cane ag.. hoho

Misshy Innz said...

taf mende .
kurus jeh
.
;p

bibiafzan said...

mace main kat court Hidayah Kok lanas. jah.....

izuddinrahim said...

innz.
taff la..
cve tgok dengan mata ati..
ahaha =p

izuddinrahim said...

bibiafzan.
memey pon..
maen ctu la.. hehe

Anonymous said...

Patut tuka name entri jdik entri 'perPELUHan'.. kui3..;p

sakinah zaini said...

kalah x pe..adat pertndingn la..cuba lagi lain kali..
mgkn anda adalah faktor kekalahan..ha2..

izuddinrahim said...

anonymous.
DBP tak marah ke kalo wat title macam tu? haha..

izuddinrahim said...

sakinah.
oit ! cakap bebaek sket..
kalo faktor pon, skit je kot.. kehkeh !

Anonymous said...

dbp marah lah best.. jarang2 nak gak kene marah.. kn kn..? haha.. baru adventure gtu..

p/s: sape ckp peluh jantan macho? hyperbola sangat tu.. kehkeh..

sakinah zaini said...

ha2..jgn ar mrh..ckit pn,ttp jadik punca kekalahn kn?ha2..

izuddinrahim said...

anonymous.
adventure la sgt.. kalo kne saman cane? nayee~ haha

ntah la sape cakap..tp yg kompemnye, manusia la.. kahkah

izuddinrahim said...

sakinah.
takk.. kalo sikit jadi punca semangat.. hoho

Anonymous said...

ehh.. nape ngan perPELUHan sampai nak kene saman.. tetibe cam blur.. ~

agaknye bf manusia terbabit ske berpeluh kot.. kekeke.. ;p *mintak2 manusia terbabit tak wujud.. hakni baru naya betul kalau kene saman..*

Flower Detox Tea said...

aik..apsal rase macam kenal je salah sorang ni....macam dak kelas jer...name kimi....ntah btol ke tak....

izuddinrahim said...

anonymous.
jumpe d court ! HAHA..

izuddinrahim said...

suma.
ye eh? kalo nama kimi betol la kot.. n tuk makluman, dye tu junior beta..
hakhakhak..

Anonymous said...

err.. court mammoth bley? haha.. ketaq lutut ahh ceni main court2.. haha..

Related Posts with Thumbnails